MSX Village forum

L'atelier SCC PSG MAPPER et RAM en vhdl

SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 28/01/2013 à 14h12

Reprise du message précédent

13 warnings lors de la compilation de megasd.
Les principaux :

  • Warning (15610): No output dependent on input pin "clkena"
  • Warning (306006): Found 56 output pins without output pin load capacitance assignment
  • Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.





Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
TheWhipMaster Membre non connecté

Villageois

Rang

Avatar

Groupe : Shoutbox

Inscrit le : 27/11/2011 à 09h13

Messages: 579

Le 02/02/2013 à 18h49
Les gars ces vhdl sont pour quartus 9 web édition , étant pour l instant à la maison ( les ambassades sont pas des flèches ) je viens de vérifier les fichiers et tout passe nickel


HB-F700F(X2),A1GT,Altera DE1 + slots , Sunrise IDE CF,FMPAC, Music Module,Slot expander 8X (X3) ,PlaySoniq, et autres
   
SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 02/02/2013 à 19h04
Ça passe également avec la dernière version ... les Warnings ne sont qu'accessoires...


Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 02/02/2013 à 20h23
Bon j'ai reçu mes 2 modules et ça compile comme à la vogue ^^
Voici la compilation du fichier SCCWAVE

Reste à trouver comment brancher ça sur le slot :hum :moue

En attendant il faut que je vérifie le fonctionnement et je vais commencer par un petit montage simple ;)
SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 02/02/2013 à 23h57
Essaie plutôt d'utiliser le code de la megaSD au début.
...Compiler le projet "OCM-PLD Pack v3.1 by KdL" te permettra sans aucun doute d'obtenir une représentation graphique qui t'aidera pour ton câblage !! ;)


Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 03/02/2013 à 10h04
J'ai compilé les sources de Caro pour Altera DE0 et cela ne me dit rien de plus :moue
La Mega SD requière trop de pins pour mon "petit" EP2C5T144 donc pour l'instant je met de coté.
Idem pour le PSGSCCR.
J'aimerais bien tester les modules un à un : SCC, PSG.
En parlant de Mega SD, il doit bien y avoir un BIOS non :hum
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 03/02/2013 à 12h27
Voici le MegaSD sans la RAM
Maintenant ça rentre même dans un MAX II à 8 Euros



Par contre toujours pareil, comment interfacer ça avec le SLOT MSX :hum

Franchement, je pense que, vu le peu d'engouement que suscite le sujet et le peu d'informations dispo sur ces codes, je vais vite me retrouver dans une voie de garage.(A mon avis j'y suis déjà) :moue
Je remercie particulièrement TheWhipMaster qui appâte la galerie sans donner suite :top

Dommage pour la communauté.

SveN, ne range pas ton matos, je n'ai pas dis mon dernier mot ;)


Jipe Membre non connecté

Maire-adjoint

Rang

Avatar

Association

Inscrit le : 02/10/2009 à 19h41

Messages: 10318

Le 03/02/2013 à 12h37
sans connaitre a fond ce genre de technologie j'y ai touché un peu dans le temps

il faut commencer par les signaux connus et partir du schéma classique d'une MegaSD

donc adr(15..0) et dbo(7..0) vont sur le slot bus adresse et bus données

reset sur le signal du même nom

wrt sur /WR


:noel
Site web    
Jipe Membre non connecté

Maire-adjoint

Rang

Avatar

Association

Inscrit le : 02/10/2009 à 19h41

Messages: 10318

Le 03/02/2013 à 13h13
jette un coup d'oeil sur ce site , si ça peux t'aider

http://members.quicknet.nl/evanson/Page/MMC/start.html


:noel
Site web    
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 03/02/2013 à 13h33
Désolé Jipé ça na rien à voir.
J'ai déjà parcouru ces pages et j'ai commencé à travailler sur les schémas ;)
Te casse pas la tête à mon avis les fichiers de TheWhipMaster ne sont pas utilisables tel que dans le cadre du DIY amateur.
TheWhipMaster Membre non connecté

Villageois

Rang

Avatar

Groupe : Shoutbox

Inscrit le : 27/11/2011 à 09h13

Messages: 579

Le 04/02/2013 à 20h54
Fabf :


Je remercie particulièrement TheWhipMaster qui appâte la galerie sans donner suite :top



Dommage pour la communauté.



Heu ... Je suis en cours de déménagement , j ai plus que mon iPhone comme connection internet ... D ailleurs pourquoi je dis ça je n ais pas a me justifier :@

Sinon attention je pense que tu as viré les accès ram qui sont utilisé pour communiquer avec les msx :siffle but well that s my two cents


HB-F700F(X2),A1GT,Altera DE1 + slots , Sunrise IDE CF,FMPAC, Music Module,Slot expander 8X (X3) ,PlaySoniq, et autres
   
TheWhipMaster Membre non connecté

Villageois

Rang

Avatar

Groupe : Shoutbox

Inscrit le : 27/11/2011 à 09h13

Messages: 579

Le 04/02/2013 à 21h00
Fabf :


Te casse pas la tête à mon avis les fichiers de TheWhipMaster ne sont pas utilisables tel que dans le cadre du DIY amateur.


Le club gigamix est un club d amateur ... Je dis ça je dis rien


HB-F700F(X2),A1GT,Altera DE1 + slots , Sunrise IDE CF,FMPAC, Music Module,Slot expander 8X (X3) ,PlaySoniq, et autres
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 04/02/2013 à 21h07
Je m'excuse de m'être emporté hier et je te remercie pour ton intervention très constructive d'aujourd'hui qui répond aux questions en attentes.
Je te remercie aussi d'avoir confirmer mon achat d'un cyclone II dans lequel aucun de tes fichiers ne rentrent par manque de pins.

That's all folks on peut fermer le topic.
TheWhipMaster Membre non connecté

Villageois

Rang

Avatar

Groupe : Shoutbox

Inscrit le : 27/11/2011 à 09h13

Messages: 579

Le 04/02/2013 à 21h27
Dans ton cyclone ii tu peux faire entrer le scc , le mapper ... Slot expander .Mais bon le sujet est clos


HB-F700F(X2),A1GT,Altera DE1 + slots , Sunrise IDE CF,FMPAC, Music Module,Slot expander 8X (X3) ,PlaySoniq, et autres
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 04/02/2013 à 21h51
Trop facile :top
TheWhipMaster Membre non connecté

Villageois

Rang

Avatar

Groupe : Shoutbox

Inscrit le : 27/11/2011 à 09h13

Messages: 579

Le 04/02/2013 à 22h07
89 i/o c est trop petit pour une trentaine de connections ? Et ça c est pas trop facile ?


HB-F700F(X2),A1GT,Altera DE1 + slots , Sunrise IDE CF,FMPAC, Music Module,Slot expander 8X (X3) ,PlaySoniq, et autres
   
Répondre
Vous n'êtes pas autorisé à écrire dans cette catégorie