MSX Village forum

L'atelier SCC PSG MAPPER et RAM en vhdl

SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 04/01/2013 à 13h23

Reprise du message précédent

Pour moi, MegaSD = FGPA + flashrom. Pas de ram/SRAM embarquée .. ça évite d'avoir une "batterie" dans la cartouche.
Les pins relatives à la RAM sont sans doute celles de la RAM du MSX, et sont sans doute communes à celles que l'on trouve dans le code VHDL du mapper.

Maintenant .. faut attendre l'expertise de TheWhip.


Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 04/01/2013 à 13h51
A mon avis:

Slot MSX
adr : Bus d'adresse, 16 bits
dbi : DATA IN, 8 bits
dbo : DATA OUT, 8 bits
Pourquoi in et out et pas bidir :hum

RAM
ramadr : Bus d'adresse pour la RAM, 20 bits
ramdbi : RAM DATA IN, 8 bits
ramdbo : RAM DATA OUT, 8 bits
Pareil que pour le slot pour le bidir
Sur 20bit ça nous fait quand même un potentiel de 1MO (pas dégueux)

On attends l'avis de l'expert ;)
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 04/01/2013 à 19h59
clkena est déclaré mais non utilisé.
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 16/01/2013 à 21h35
TheWhipMaster, pourquoi quand je compile psgsccr.vhd j'ai un message d'erreur :(

"error line 454 : Width of logical operand "1" does not match the width of result"

la ligne en question
elsif (pSltClk_n'event and pSltClk_n = '1') then

Tu peux m'aider stp ;) Edité par Fabf Le 16/01/2013 à 21h36
igal Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 29/07/2010 à 17h19

Messages: 5492

Le 16/01/2013 à 22h42
T'as essayé de taper sur l'écran? :fou


Tiens... voila du boudin, voila du boudin, voila du boudin... :siffle
TheWhipMaster Membre non connecté

Villageois

Rang

Avatar

Groupe : Shoutbox

Inscrit le : 27/11/2011 à 09h13

Messages: 579

Le 17/01/2013 à 22h23
Effectivement la mega sd utilisé une flash ROM f40.
La mega sd est aussi prévu pour permettre une extension mémoire de tupperware ese ram expand!
@ fab : quelle version de l ise utilise tu ? Je Check l erreur des que je suis chez moi
Nb : je ne suis pas chez moi avant la semaine prochaine car prépare mes papiers cette année rocknroll (40% Inde , 40% Japon et 20% France )


HB-F700F(X2),A1GT,Altera DE1 + slots , Sunrise IDE CF,FMPAC, Music Module,Slot expander 8X (X3) ,PlaySoniq, et autres
   
SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 25/01/2013 à 18h54
@Fabf : je vois sur MRC que t'es à fond avec ton fgpa. Vais songer à ressortir le mien ... Suis sûr d'apprendre plein de choses ici ! :top


Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 25/01/2013 à 19h03
Je prépare juste pour l'instant, je l'ai pas encore reçu. :(
Tu peux ressortir le tiens comme ça on sera deux à galérer.

Je crois que j'ai pigé de coup des dbi et dbo.
D'après moi l'entité(code VHDL) ne gère pas les I/O bidirectionnelles.
Dons en I/O on a bien un entrée/sortie mais sur l'entité on a une entrée et une sortie.

Je sais pas si je suis clair :fou
Jipe Membre non connecté

Maire-adjoint

Rang

Avatar

Association

Inscrit le : 02/10/2009 à 19h41

Messages: 10318

Le 25/01/2013 à 19h12
ça me rappelle les anciennes mémoires avec un IN et un OUT sur les données


:noel
Site web    
SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 25/01/2013 à 19h50
http://www.altera.com/support/examples/vhdl/v_bidir.html

et j'ai la flemme de traduire :

(en parlant de inout) ... If this is for a half-duplex bus application, I think it is prefered to have
two signals, one input and one output and to combine them at the top level
with the appropriate buffers, instead of a single input/output signal. That
way, it is clear what is being sent out and that is being brought in. If
the inout is just so you can read a value that you are outputting, then it
is best to use an internal signal that holds the value to output. This means
that you can both drive a value on the line and read the value at the same
time. Edité par SveN Le 25/01/2013 à 19h53


Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 25/01/2013 à 19h55
Donc ma théorie était bonne ;)
SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 26/01/2013 à 16h24
Fabf :
Je prépare juste pour l'instant, je l'ai pas encore reçu. :( ...
C'est quand même un peu long, je reçois habituellement les colis de chine/HK en moins d'une semaine...

Je dois par ailleurs avoir pas mal de doc et quelques bouts de code VHDL relatifs à la FAT16... ce sera l'occasion de retester tout ça !!

Je sors tout ça dans 2 jours ... et je t'accompagne volontiers dans la galère !!


Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 26/01/2013 à 18h51
Non, ça met bien un mois en général en free shipping ;)
Cool, et tu as quoi comme matos ?
SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 28/01/2013 à 12h13
Fabf :
TheWhipMaster, pourquoi quand je compile psgsccr.vhd j'ai un message d'erreur :(

"error line 454 : Width of logical operand "1" does not match the width of result"

la ligne en question

elsif (pSltClk_n'event and pSltClk_n = '1') then ...


Je viens de tester la compilation de psgsccr.vhd à l'instant (Quartus x64 12.1 buid 177, web edition) .. une quarantaine de warnings .. mais rien d'autre


Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 28/01/2013 à 13h00
Cool, ce doit être parce que j'ai utilisé MAX plus II ^^
Je peux pas utiliser Quartus tant que j'ai pas quelque chose à mettre au bout de mon ByteBlaster.
SveN Membre non connecté

Villageois

Rang

Avatar

Groupe : compte ++

Inscrit le : 22/11/2011 à 21h14

Messages: 865

Le 28/01/2013 à 14h12
13 warnings lors de la compilation de megasd.
Les principaux :

  • Warning (15610): No output dependent on input pin "clkena"
  • Warning (306006): Found 56 output pins without output pin load capacitance assignment
  • Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.





Philips.NMS.8245/50/80, Sony.F1XV/HBF-700D, Pana.FSA1FX/A1WX(x2)/A1GT, OCM, GR8BIT.... et ...
   
Répondre
Vous n'êtes pas autorisé à écrire dans cette catégorie