L'atelier Détection du PORT F4

Reprise du message précédent
metalgear2:Mon but est de créer une petite PCB (Rajouter en gigogne sur le Z80 par exemple) pour rajouter ce PORT F4 sur les MSX qui ne l'ont pas.
J'ai donc fait un petit circuit (Proto) que je connecte au port cartouche avec ce fameux PORT F4 dessus, mais le logo n'apparait pas.
J'ai donc fait un petit circuit (Proto) que je connecte au port cartouche avec ce fameux PORT F4 dessus, mais le logo n'apparait pas.
Celui là devrait faire l'affaire collé dans un EPM3032

-- F4 port
-- Fabf 03-2016
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity f4_port is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
D7 : inout STD_LOGIC;
WR : in STD_LOGIC;
RD : in STD_LOGIC;
IORQ : in STD_LOGIC;
RESET : in STD_LOGIC
);
end f4_port;
architecture Behavioral of f4_port is
signal f4_status : std_logic :='1';
BEGIN
process(A,D7,WR,RD,IORQ,RESET)
variable f4_init : std_logic ;
BEGIN
if (RESET = '0') then
f4_init := '1';
elsif A(7 downto 0) = "11110100" AND IORQ = '0' AND WR = '0' then
f4_init := D7;
else
f4_status <= f4_init;
END IF;
end process;
D7 <= f4_status when A(7 downto 0) = "11110100" AND IORQ = '0' AND RD = '0' else 'Z';
end Behavioral;
-- Fabf 03-2016
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity f4_port is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
D7 : inout STD_LOGIC;
WR : in STD_LOGIC;
RD : in STD_LOGIC;
IORQ : in STD_LOGIC;
RESET : in STD_LOGIC
);
end f4_port;
architecture Behavioral of f4_port is
signal f4_status : std_logic :='1';
BEGIN
process(A,D7,WR,RD,IORQ,RESET)
variable f4_init : std_logic ;
BEGIN
if (RESET = '0') then
f4_init := '1';
elsif A(7 downto 0) = "11110100" AND IORQ = '0' AND WR = '0' then
f4_init := D7;
else
f4_status <= f4_init;
END IF;
end process;
D7 <= f4_status when A(7 downto 0) = "11110100" AND IORQ = '0' AND RD = '0' else 'Z';
end Behavioral;

Je l'avais pas celui là ... après c'est normal, c'est du Made in Fabf 
Je ferais un test sur mon NMS8255 en virant le port F4 qui est en C.I standard.

Je ferais un test sur mon NMS8255 en virant le port F4 qui est en C.I standard.
Répondre
Vous n'êtes pas autorisé à écrire dans cette catégorie