L'atelier le "8bitsbaby Prototype board" pour MSX
MSXlegend
Membre non connecté
Conseiller Municipal
Salut
Étant toujours a la recherche d'un moyen de concevoir un pcb pour MSX avec la meilleur rentabilité possible, je suis tombé dessus
Voici le lien http://www.ggsdata.se/index.php?page=Datorer/8bitbaby.php
Il faut compter 8 euros piece.
Voici un exemple d'utilisation avec une puce alterna
http://mycorner.no-ip.org/misc/cpld/8bb/index.html
Et le code VHDL de l'alterna pour MSX
https://sites.google.com/site/ronivoncosta/home/fpga/8-bit-baby-for-msx Edité par MSXlegend Le 29/05/2013 à 11h42
Étant toujours a la recherche d'un moyen de concevoir un pcb pour MSX avec la meilleur rentabilité possible, je suis tombé dessus
Voici le lien http://www.ggsdata.se/index.php?page=Datorer/8bitbaby.php
Il faut compter 8 euros piece.
Voici un exemple d'utilisation avec une puce alterna
http://mycorner.no-ip.org/misc/cpld/8bb/index.html
Et le code VHDL de l'alterna pour MSX
https://sites.google.com/site/ronivoncosta/home/fpga/8-bit-baby-for-msx Edité par MSXlegend Le 29/05/2013 à 11h42
Je ne trouves pas ça si cher que ça vue le nombre de machines pouvant utiliser cette carte. après effectivement ça ne rentre pas dans une cartouche MSX et je comprends que cela puisse déranger mais pour la réalisation de proto ce n'est pas la priorité je penses .
Apres tout est question de point de vue car de mon coté etant plus que multisupport je vois plus facilement l'interet de ce type de carte. Mais de toute façon, bricoller ses propres cartes n'a jamais été ce qu'il y a de plus économique c'est plus le coté plaisir de dire c'est moi qui l'ai fait ou cette carte n'existait pas officiellement qui est pris en compte .
MSXlegend
Membre non connecté
Conseiller Municipal
J'ai justement pensé a toi galine
Ideal pour multisupport seulement. Concernant la connection port cartouche, voir slot expender ou rallonge max 20 cm du port cartouche
Perso, elle ne m'interresse pas, car trop large
Ideal pour multisupport seulement. Concernant la connection port cartouche, voir slot expender ou rallonge max 20 cm du port cartouche
Perso, elle ne m'interresse pas, car trop large
Rien que pour le code VHDL pour moi qui en n'a jamais fait, c'est intéressant
Après pour revenir au sujet de la carte en elle même faut peut être simplement la couper un poil pour qu'elle entre dans le port cartouche.
Cela dit cette carte est tout de même plus grande que celle qui est proposé dans un autre post qui propose de faire réaliser une carte d'essai..
Si certain d'entre vous sont calés en VHDL, on peut certainement créer un post/wiki pour partager sur le sujet?
Après pour revenir au sujet de la carte en elle même faut peut être simplement la couper un poil pour qu'elle entre dans le port cartouche.
Cela dit cette carte est tout de même plus grande que celle qui est proposé dans un autre post qui propose de faire réaliser une carte d'essai..
Si certain d'entre vous sont calés en VHDL, on peut certainement créer un post/wiki pour partager sur le sujet?
TurboR GT (1Mo), CANON V20! ( en kit, modif 2+ en cours)
Pas encore retrouvés: V9990, Grafx9000, SUNRISE IDE 2x CF, SUNRISE MOONSOUND, FM PAC, MUSIC MODULE, NMS8280, SD SNATCHER,...
C'est juste dommage qu'elle n'ai pas un connecteur zx ready enfin je travailles actuellement sur un adaptateur Orel bk-08 (clone de zx avec un connecteur din 41612 64 pin) vers les diverses cartes zx (type ege 56 pin) reste a voir ce que ça va donner surtout que personne en europe semble connaitre l'Orel ce qui va quelque peut me compliquer la tache . bref ici on parle de MSX donc je retournes a mes moutons. d’ailleurs pourquoi je parlais de ZX ha oui il y a un espagnol qui a fabriqué une carte vidéo pour zx a base de TMS9918A / 9929 c'est pour ça que je commençais a tout mélanger .
GDX :
Oui, il faut couper la partie VHDL justement.
z80 :
Rien que pour le code VHDL pour moi qui en n'a jamais fait, c'est intéressant
Après pour revenir au sujet de la carte en elle même faut peut être simplement la couper un poil pour qu'elle entre dans le port cartouche.
Après pour revenir au sujet de la carte en elle même faut peut être simplement la couper un poil pour qu'elle entre dans le port cartouche.
Oui, il faut couper la partie VHDL justement.
Houla! je crois qu'on ne c'est pas compris!
Le VHDL c'est ca:
Code VB :
------------------------------------------------ entity msx_top is port ( msx_clock : in std_logic; led: out std_logic; cs2_n : in std_logic; a : in std_logic_vector(11 downto 0); d : inout std_logic_vector(7 downto 0) ); end msx_top; architecture rtl of msx_top is begin process (msx_clock) begin if msx_clock'event and msx_clock = '1' then if cs2_n = '0' then if a = "000001000001" then -- 4000h led <= d(0) end if; end if; end process; end rtl; ------------------------------------------------
Toi tu dois parler du circuit EPM3064 qui est un "CPLD"
TurboR GT (1Mo), CANON V20! ( en kit, modif 2+ en cours)
Pas encore retrouvés: V9990, Grafx9000, SUNRISE IDE 2x CF, SUNRISE MOONSOUND, FM PAC, MUSIC MODULE, NMS8280, SD SNATCHER,...
Fabf
Membre non connecté
Conseiller Municipal
Celle là serait pas mal non
Fichiers gerber dispo si quelqu'un veut se lancer
Fichiers gerber dispo si quelqu'un veut se lancer
Fabf
Membre non connecté
Conseiller Municipal
Parce que ce n'est pas moi qui est ouvert ce topic et que je suis en train de préparer d'autres cartes.
En plus avec la méthode que je t'ai indiqué, une carte proto ça prend 5 minutes et ça me va bien comme ça.
En plus avec la méthode que je t'ai indiqué, une carte proto ça prend 5 minutes et ça me va bien comme ça.
Répondre
Vous n'êtes pas autorisé à écrire dans cette catégorie